Selected Publications

In this page, name “Xiaoxuan Yang” is shown in bold text and symbol “*” denotes the equal contribution.

Full publications can also be found through Google Scholar.

Journal Ariticles

  1. Xiaoxuan Yang, Huanrui Yang, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, and Hai Helen Li.
    ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-aware ReRAM-based In-Memory Training Systems.”
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 42, no. 7, pp. 2187-2199, July 2023.

  2. Changming Wu, Xiaoxuan Yang, Yiran Chen, and Mo Li.
    Photonic Bayesian Neural Network using Programmed Optical Noises.”
    IEEE Journal of Selected Topics in Quantum Electronics (JSTQE), vol. 29, no. 2: Optical Computing, pp. 1-6, March-April 2023.

  3. Xiaoxuan Yang, Changming Wu, Mo Li, and Yiran Chen.
    Tolerating Noise Effects in Processing-in-Memory Systems for Neural Networks: A Hardware–Software Codesign Perspective.”
    Advanced Intelligent System, 2200029 (2022).

  4. Xiaoxuan Yang*, Brady Taylor*, Ailong Wu, Yiran Chen, and Leon O. Chua.
    Research Progress on Memristor: From Synapses to Computing Systems.”
    IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I), vol. 69, no. 5, pp. 1845-1857, May 2022.
    [Selected as TCAS-I Highlight]

  5. Changming Wu, Xiaoxuan Yang, Heshan Yu, Ruoming Peng, Ichiro Takeuchi, Yiran Chen, and Mo Li.
    Harnessing Optoelectronic Noises in a Photonic Generative Network.”
    Science Advances 8, no. 3 (2022): eabm2956.

Conference Proceedings

  1. Christopher Wolters, Brady Taylor, Edward Hanson, Xiaoxuan Yang, Ulf Schlichtmann, Yiran Chen.
    Biologically Plausible Learning on Neuromorphic Hardware Architectures.”
    In International Midwest Symposium on Circuits and Systems (MWSCAS), 2023.
    [Best Student Poster Award]

  2. Xiaoxuan Yang, Shiyu Li, Qilin Zheng, and Yiran Chen.
    Improving the Robustness and Efficiency of PIM-based Architecture by SW/HW Co-Design.”
    In Asia and South Pacific Design Automation Conference (ASP-DAC), 2023.

  3. Jörg Henkel, Hai Helen Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, and Georgios Zervakis.
    Approximate Computing and the Efficient Machine Learning Expedition.”
    In International Conference on Computer-Aided Design (ICCAD), 2022.

  4. Xiaoxuan Yang, Huanrui Yang, Jingchi Zhang, Hai Helen Li, and Yiran Chen.
    On Building Efficient and Robust Neural Network Designs.”
    In Asilomar Conference on Signals, Systems, and Computers (ASILOMAR), 2022.

  5. Xiaoxuan Yang*, Huanrui Yang*, Neil Zhenqiang Gong, and Yiran Chen.
    HERO: Hessian-Enhanced Robust Optimization for Unifying and Improving Generalization and Quantization Performance.”
    In 59th Design Automation Conference (DAC), 2022.
    [Rank First in the Track]

  6. Changming Wu, Xiaoxuan Yang, Heshan Yu, Ichiro Takeuchi, Yiran Chen, and Mo Li.
    Optical Generative Adversarial Network based on Programmable Phase-change Photonics.”
    In CLEO: Science and Innovations, pp. STu1G-3. Optical Society of America, 2021.

  7. Xiaoxuan Yang, Syrine Belakaria, Biresh Kumar Joardar, Huanrui Yang, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty, and Hai Helen Li.
    Multi-Objective Optimization of ReRAM Crossbars for Robust DNN Inferencing under Stochastic Noise.”
    In Proceedings of the 40th International Conference on Computer-Aided Design (ICCAD). 2021.

  8. Xiaoxuan Yang, Bonan Yan, Hai Helen Li, and Yiran Chen.
    ReTransformer: ReRAM-based Processing-In-Memory Architecture for Transformer Acceleration.”
    In Proceedings of the 39th International Conference on Computer-Aided Design (ICCAD). 2020.
    [Rank First in the Track]